[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

電子設計實用教程(信盈達技術創新繫列圖書)
該商品所屬分類:工業技術 -> 電子通信
【市場價】
347-504
【優惠價】
217-315
【介質】 book
【ISBN】9787121230325
【折扣說明】一次購物滿999元台幣免運費+贈品
一次購物滿2000元台幣95折+免運費+贈品
一次購物滿3000元台幣92折+免運費+贈品
一次購物滿4000元台幣88折+免運費+贈品
【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
版本正版全新電子版PDF檔
您已选择: 正版全新
溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
*. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
*. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
*. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
內容介紹



  • 出版社:電子工業
  • ISBN:9787121230325
  • 作者:周中孝//郭高亮//黃文濤
  • 頁數:217
  • 出版日期:2014-08-01
  • 印刷日期:2014-08-01
  • 包裝:平裝
  • 開本:16開
  • 版次:1
  • 印次:1
  • 字數:371千字
  • 周中孝、郭高亮、黃文濤編著的《電子設計實用
    教程》以模擬電子技術和數字電子技術為基礎,全面
    、繫統地介紹了電子技術的基礎知識和基本技術,將
    基礎理論與實際應用緊密結合,注重體現知識的實用
    性和前沿性。全書共19章,分5個部分,分別為電子
    基礎知識(第1~3章)、數字電路(第4~9章)、模
    擬電路(第10~16章)、實驗與設計(第17~18章)
    和項目實踐(第19章)。主要內容包括:常用電子元
    器件和常用芯片的介紹、常用電路定理公式、門電路
    及組合邏輯電路、電觸發器、時序邏輯電路、數/模
    和模/數轉換、半導體器件、放大電路基礎、振蕩電
    路、集成運算放大器、直流電源等。
  • **部分 電子基礎
    第1章 元器件及芯片基礎
    1.1 常用電子元器件介紹
    1.1.1 電阻
    1.1.2 電容
    1.1.3 電感器
    1.1.4 發光二極管
    1.1.5 二極管
    1.1.6 三極管
    1.1.7 蜂鳴器
    1.1.8 繼電器
    1.1.9 光耦
    1.1.10 晶振
    1.1.11 其他類元器件
    1.1.12 集成電路(集成芯片)
    1.2 常用芯片介紹
    1.2.1 門型芯片(與門、或門、非門、與或非門)
    1.2.2 鎖存類芯片(74LS373\74LS374)
    1.2.3 放大類芯片ULN2003
    1.2.4 譯碼類芯片74LS138
    1.2.5 串入並出類芯片74LS164
    1.2.6 驅動類芯片(74LS245\74LS244)
    1.2.7 電平轉換類芯片MAX232
    1.2.8 穩壓類芯片(7805\LM2576)
    1.2.9 存儲類芯片AT24C02
    1.2.10 單片機類芯片STC89C51
    習題
    第2章 常用電路定理及分析
    2.1 常用基本電路定理
    2.2 電路分析案例
    2.3 電路分析案例2
    第3章 常用電路設計軟件介紹
    3.1 電子線路的計算機輔助分析軟件Multisim、Proteus
    3.2 常用印制線路板設計軟件介紹
    第二部分 數字電路
    第4章 模擬信號和數字信號介紹
    4.1 模擬信號
    4.2 數字信號
    4.3 基本邏輯運算
    4.3.1 與運算
    4.3.2 或運算
    4.3.3 非運算
    4.3.4 其他常用邏輯運算
    4.4 邏輯函數及其表示方法
    4.4.1 邏輯函數的建立
    4.4.2 邏輯函數的表示方法
    4.4.3 邏輯函數的表示形式
    4.5 數制
    第5章 邏輯門電路(BJT、TTL、CMOS)
    5.1 數字集成電路簡介
    5.2 半導體三極管BJT
    5.2.1 二極管的開關特性
    5.2.2 BJT的結構簡介
    5.3 TTL邏輯門電路
    5.3.1 TTL電平信號介紹
    5.3.2 CMOS電路和TTL電路的區別和優缺點
    5.4 CMOS邏輯門電路
    5.5 邏輯描述中的幾個問題
    5.5.1 正負邏輯問題
    5.5.2 邏輯門電路使用中的幾個實際問題
    5.5.3 抗干擾措施
    習題
    第6章 組合邏輯電路的分析與設計
    6.1 組合邏輯電路的分析
    6.2 組合邏輯電路的設計
    6.3 卡諾圖
    6.3.1 卡諾圖的構成
    6.3.2 結構特點
    6.3.3 卡諾圖的性質
    6.3.4 邏輯函數在卡諾圖上的表示
    6.3.5 卡諾圖上*小項的合並規律
    6.3.6 卡諾圖化簡邏輯函數
    6.4 編碼器
    6.5 譯碼器
    6.6 數據選擇器
    6.7 數據比較器
    6.8 加法器
    習題
    第7章 鎖存器、觸發器與多諧振蕩器
    7.1 觸發器
    7.1.1 基本RS觸發器
    7.1.2 同步RS觸發器
    7.1.3 主從RS觸發器
    7.1.4 JK觸發器
    7.1.5 D觸發器
    7.1.6 T觸發器
    習題
    7.2 時序邏輯電路
    7.2.1 時序邏輯電路概述
    7.2.2 同步時序邏輯電路的分析方法
    7.2.3 同步時序邏輯電路的設計步驟
    7.3 多諧振蕩器
    7.4 單穩態觸發器
    7.5 施密特觸發器
    7.5.1 用門電路組成的施密特觸發器
    7.5.2 施密特觸發器的應用
    習題
    第8章 555定時器及其應用
    8.1 555定時器及其應用
    8.2 555定時器電路結構
    8.3 定時器應用實例
    8.3.1 用555定時器構成的施密特觸發器
    8.3.2 用555定時器構成的單穩態觸發器
    8.3.3 用555定時器接成的多諧振蕩器
    8.4 555定時器應用實例(參考)
    第9章 存儲器、數/模與模/數轉換器
    9.1 存儲器
    9.1.1 隻讀存儲器
    9.1.2 隨機存取存儲器
    習題
    9.2 數/模與模/數轉換器
    9.2.1 概念
    9.2.2 D/A轉換器DAC0832
    9.2.3 A/D轉換器ADC0809
    第三部分 模擬電路
    **0章 二極管
    10.1 半導體基礎知識
    10.1.1 本征半導體
    10.1.2 雜質半導體
    10.1.3 PN結
    10.1.4 PN結的電容效應
    10.2 半導體二極管
    10.2.1 半導體二極管的幾種常見結構
    10.2.2 二極管的伏安特性
    10.2.3 二極管的主要參數
    10.2.4 穩壓二極管的主要參數
    習題
    **1章 三極管
    11.1 雙極型晶體管
    11.1.1 雙極型晶體管的結構及類型
    11.1.2 雙極型晶體管的組態
    11.1.3 雙極型晶體管的共射特性曲線
    11.1.4 雙極型晶體管的主要參數
    **2章 基本放大電路
    12.1 放大電路的基本概念
    12.1.1 基本放大電路的組成和工作原理
    12.1.2 放大電路的性能指標
    12.1.3 直流通路和交流通路
    12.1.4 靜態工作點的設置
    12.2 放大電路的分析方法
    12.2.1 圖解分析法
    12.2.2 小信號模型分析法
    12.3 放大電路靜態工作點的穩定
    12.3.1 靜態工作點穩定的必要性
    12.3.2 穩定靜態工作點的措施
    12.3.3 分壓式射極偏置電路的分析
    12.4 共集放大電路和共基極放大電路
    12.4.1 共集放大電路
    12.4.2 共基極放大電路
    12.4.3 三種組態電路的比較
    習題
    **3章 集成運算放大電路
    13.1 集成運算放大電路概述
    13.2 理想運算放大器
    13.3 基本運算電路
    13.3.1 比例運算電路
    13.3.2 加減運算電路
    13.4 濾波電路
    13.4.1 濾波電路的種類
    13.4.2 濾波器的幅頻特性
    13.4.3 低通濾波電路(LPF)
    13.4.4 高通濾波電路(HPF)
    13.4.5 帶通濾波器(BPF)和帶阻濾波器(BEF)
    13.5 波形發生與信號轉換電路
    13.5.1 正弦波振蕩電路
    13.5.2 電壓比較器
    13.5.3 非正弦波發生電路
    13.6 功率放大電路
    13.6.1 功率放大電路簡介
    13.6.2 功率放大器的分類
    13.6.3 性能指標
    13.6.4 互補對稱式乙類功率放大電路
    13.6.5 甲乙類雙電源互補對稱電路
    13.6.6 準互補對稱式功率放大電路
    13.6.7 單電源互補對稱式功率放大電路(OTL)
    13.6.8 自舉電路
    13.6.9 變壓器耦合推挽功率放大電路
    13.6.10 集成功率放大電路簡介
    本章 小結
    **4章 直流電源
    14.1 直流電源的組成及各部分的作用
    14.2 整流電路
    14.2.1 單相橋式整流電路
    14.3 濾波電路
    14.3.1 電容濾波電路
    14.3.2 電感濾波電路
    14.4 穩壓電路
    14.4.1 穩壓二極管穩壓電路
    14.4.2 串聯型穩壓電路
    14.4.3 串聯型穩壓電路的工作原理
    14.4.4 串聯型穩壓電路的方框圖
    14.5 三端穩壓器的應用
    **5章 場效應管
    15.1 場效應管原理
    15.1.1 場效應管的分類
    15.1.2 場效應三極管的型號命名方法
    15.1.3 場效應管的參數
    15.1.4 場效應管的作用
    15.1.5 場效應管的測試
    15.1.6 常用場效用管
    15.1.7 場效應管與晶體管的比較
    15.2 CMOS邏輯門電路
    15.2.1 CMOS反相器
    15.2.2 工作原理
    15.2.3 電壓傳輸特性
    15.2.4 工作速度
    15.2.5 CMOS傳輸門工作原理
    15.3 場效應管放大電路
    15.3.1 場效應管的特點
    15.3.2 場效應管放大電路的三種組態電路
    15.3.3 場效應管放大電路的直流偏置電路及靜態分析
    15.4 場效應管放大電路的動態分析
    習題
    **6章 數字繫統與模擬繫統的設計方法
    16.1 數字繫統的組成
    16.2 數字繫統的設計方法
    第四部分 實驗與設計
    **7章 實驗
    17.1 實驗一TTL與非門的參數和應用
    17.1.1 實驗目的
    17.1.2 所用器件
    17.1.3 實驗內容及步驟
    17.2 實驗二74LS373的參數和應用
    17.2.1 實驗目的
    17.2.2 所用器件
    17.2.3 實驗內容及步驟
    17.3 實驗三恆溫控制繫統設計—原理圖
    17.4 實驗四直流12V穩壓到直流5V電路設計—原理圖
    17.5 實驗五單片機*小繫統設計—原理圖
    17.6 實驗六三極管組成的電動機控制電路
    **8章 典型硬件電路設計分析
    18.1 信號隔離電路
    18.2 樓梯開關電路
    18.3 信號放大類電路
    18.4 信號隔離電路
    18.5 信號變換電路
    18.6 觸發控制電路
    18.7 升降壓電路
    18.8 A/D轉換和顯示電路
    18.9 OCL高保真功率放大電路
    第五部分 項目實戰
    **9章 項目管理
    19.1 項目管理知識
    19.1.1 項目定義
    19.1.2 項目三要素
    19.1.3 項目過程
    19.1.4 項目評估標準
    19.2 項目設計流程——多路線性直流穩壓電源繫統設計
    19.2.1 項目論證、可行性分析
    19.2.2 項目計劃書編制
    19.2.3 項目實施
    19.2.4 項目評審
    19.2.5 項目結束
    參考文獻
 
網友評論  我們期待著您對此商品發表評論
 
相關商品
在線留言 商品價格為新臺幣
關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
返回頂部