[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

低功耗集成電路/信息科學技術學術著作叢書
該商品所屬分類:工業技術 -> 電子通信
【市場價】
785-1137
【優惠價】
491-711
【介質】 book
【ISBN】9787030500427
【折扣說明】一次購物滿999元台幣免運費+贈品
一次購物滿2000元台幣95折+免運費+贈品
一次購物滿3000元台幣92折+免運費+贈品
一次購物滿4000元台幣88折+免運費+贈品
【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
版本正版全新電子版PDF檔
您已选择: 正版全新
溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
*. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
*. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
*. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
內容介紹



  • 出版社:科學
  • ISBN:9787030500427
  • 作者:張鋒//瀋海華//陳鋮穎
  • 頁數:233
  • 出版日期:2016-09-01
  • 印刷日期:2016-09-01
  • 包裝:平裝
  • 開本:16開
  • 版次:1
  • 印次:1
  • 字數:306千字
  • 張鋒、瀋海華、陳鋮穎所著的《低功耗集成電路
    /信息科學技術學術著作叢書》從多個角度對超大規
    模集成電路VLSI的低功耗設計方法進行介紹。首先,
    從SoC芯片的角度出發介紹大規模集成電路的低功耗
    來源、發展趨勢及功耗的評估和驗證,這部分對於理
    論和內容都是從數字電路繫統級角度出發,針對當前
    大規模SOC芯片的最新技術和成果進行論述。其次,
    從微電子的固態電路設計角度出發,較為詳細地介紹
    亞閾值晶體管、低功耗低噪聲放大器、低功耗
    sigma-Delta模數轉換器等晶體管電路低功耗設計原
    理與趨勢。然後,主要介紹半導體領域的一個重要分
    支——存儲器的高性能低功耗設計,並重點論述靜態
    隨機存儲器和阻變存儲器兩個特征明顯的存儲器。最
    後對芯片未來的低功耗技術發展趨勢進行闡述。
    本書對CMOS集成電路設計和研究的讀者,特別是
    高等院校中從事計算機芯片繫統、電子信息領域、微
    電子專業學生,以及進行集成電路設計的工程師,都
    會起到有益的幫助。
  • 《信息科學技術學術著作叢書》序
    前言
    第1章 集成電路功耗來源
    1.1 動態切換功耗
    1.2 瞬時短路功耗
    1.3 靜態功耗
    第2章 低功耗的設計與實現
    2.1 繫統級實現
    2.1.1 動態電壓/頻率調節技術介紹
    2.1.2 分塊耗能控制的自動DVFS在能量受限的NoC通信模塊上的應用
    2.1.3 在線學習進行繫統級能量控制
    2.1.4 帶有DvFs的多分區的內存結構
    2.1.5 多時鐘域處理器中的集成CPU高速緩存功耗管理
    2.2 算法級實現(通過較少總線上比特翻轉的次數減少功耗)
    2.2.1 編譯碼算法
    2.2.2.Markov模型
    2.2.3 減少比特翻轉次數的算法
    2.3 結構級低功耗設計方法
    2.3.1 總線的低功耗設計
    2.3.2 存儲器優化
    2.3.3 預運算技術
    2.3.4 並行技術
    2.3.5 流水線技術
    2.4 寄存器傳輸級(RTL)和門級(Gate一1evel)低功耗設計
    2.4.1 時鐘門控
    2.4.2 動態頻率調整(DFS)技術
    2.4.3 電源門控技術
    2.4.4 信號門控
    2.5 電路級
    2.5.1 電荷循環總線結構
    2.5.2 多米諾邏輯
    2.6 工藝級
    2.6.1 多閾值電壓
    2.6.2 多電壓技術
    2.6.3 GateSizing
    2.6.4 面積優化技術
    第3章 功耗評估
    3.1 基於模擬方法的Fractal算法低功耗估計
    3.2 混合級別功率估計
    3.3 存儲器的功率估計
    第4章 亞閾值MOS晶體管
    4.1 MOS工藝概述
    4.2 MOS器件模型
    4.2.1 MOS管I/V特性
    4.2.2 二階效應
    4.3 亞閾區設計考慮
    4.3.1 PVT變量
    4.3.2 匹配性
    4.3.3 噪聲
    4.4 極低功耗亞閾值MOS晶體管電路設計
    4.4.1 MOS晶體管洩漏機理
    4.4.2 MOS晶體管洩漏降低技術
    4.5 亞閾值CMOs邏輯中的參數變化影響
    4.5.1 噪聲裕度
    4.5.2 能耗
    4.6 小結
    第5章 低功耗、低噪聲放大器
    5.1 芯片中的噪聲
    5.2 低頻噪聲及失調電壓消除技術
    5.3 斬波調制放大器設計
    5.3.1 傳統斬波調制放大器設計
    5.3.2 低阻結點斬波調制放大器設計
    5.4 亞閾值心電放大器設計
    5.5 小結
    第6章 低功耗Sigma-Delta模數轉換器
    6.1 Sigma-Delta模數轉換器基礎
    6.2 Sigma-Delta模數轉換器結構
    6.2.1 單環調制器結構
    6.2.2 多級噪聲整形調制器結構
    6.2.3 多位量化調制器結構
    6.3 Sigma-Delta調制器的性能參數
    6.4 低功耗Sigma-Delta調制器電路設計
    6.4.1 前饋Sigma-Delta調制器結構
    6.4.2 采樣開關運算放大器
    6.4.3 低功耗運算放大器
    6.4.4 低功耗比較器
    6.5 小結
    第7章 低功耗高速靜態隨機存儲器
    7.1 存儲器說明
    7.2 SRAM的設計基礎
    7.2.1 基於CMOS工藝SRAM的電路結構
    7.2.2 sRAM的性能指標
    7.3 SRAM的高速低功耗設計技術
    7.3.1 SRAM的譯碼電路功耗
    7.3.2 數據通路的高速低功耗設計技術
    7.3.3 SRAM的低功耗結構優化技術
    7.4 小結
    第8章 低功耗阻變存儲器
    8.1 阻變存儲器說明
    8.2 阻變存儲器的低功耗操作
    8.2.1 RRAM的低功耗高可靠寫入操作
    8.2.2 RRAM的低功耗高可靠讀出操作
    8.3 阻變存儲器的熱效應
    8.4 小結
    第9章 低功耗集成電路發展趨勢分析
    9.1 低功耗SoC的技術發展趨勢分析
    9.2 低功耗混合信號集成電路發展方向分析
    9.3 低功耗存儲器電路的未來發展方向
    參考文獻
 
網友評論  我們期待著您對此商品發表評論
 
相關商品
在線留言 商品價格為新臺幣
關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
返回頂部