[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

數字邏輯電路設計學習指導與實驗教程(高等院校計算機實驗與實踐繫列示範教材)
該商品所屬分類:工業技術 -> 電子通信
【市場價】
235-340
【優惠價】
147-213
【介質】 book
【ISBN】9787302288145
【折扣說明】一次購物滿999元台幣免運費+贈品
一次購物滿2000元台幣95折+免運費+贈品
一次購物滿3000元台幣92折+免運費+贈品
一次購物滿4000元台幣88折+免運費+贈品
【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
版本正版全新電子版PDF檔
您已选择: 正版全新
溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
*. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
*. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
*. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
內容介紹



  • 出版社:清華大學
  • ISBN:9787302288145
  • 作者:馬漢達//趙念強
  • 頁數:200
  • 出版日期:2012-08-01
  • 印刷日期:2012-08-01
  • 包裝:平裝
  • 開本:16開
  • 版次:1
  • 印次:1
  • 字數:325千字
  • 馬漢達等編著的《數字邏輯電路設計學習指導與實驗教程》分兩部分,共11章。**部分是“數字邏輯電路設計”課程的學習指導,共分6章,是根據鮑可進教授主編的《數字邏輯電路設計》教材的第1章~第6章的主要內容,從要點指導、例題精講、習題參考答案3個方面進行歸納和總結,對於學生學習該課程具有很好的指導價值。第二部分是“數字邏輯電路設計”課程的實驗教程,共分5章,主要介紹數字邏輯電路設計和EDA技術課程實驗涉及的相關內容。其中,EDA概述介紹EDA的基本概念、設計流程、設計方法和常用開發工具;VHDL語言概述主要對VHDL語言的語言要素、程序基本結構和語句進行了歸納總結,便於學生的學習;QuartusⅡ基本使用方法介紹了QuartusⅡ的設計流程、文本輸入設計過程和原理圖設計方法。基礎實驗部分以提高學生實際動手能力和工程設計能力為目的,精心選擇了15個不同難度的基礎實驗,供不同專業、不同學時的學生選用;綜合設計性實驗部分設計了5個典型工程應用設計案例。所有的實驗項目在內容安排上由淺入深,循序漸進,便於讀者學習和教學使用。
  • 從學生課程內容的學習和提高實驗技能的角度出發,馬漢達等編著的《 數字邏輯電路設計學習指導與實驗教程》分為兩部分:第一部分是學習指導 ,根據鮑可進主編的《數字邏輯電路設計》教材的內容,主要從課程的要點 指導、例題精講、習題參考答案3個方面對每一章的重點內容進行概括和總 結,方便學生學習;第二部分是實驗教程,主要介紹數字邏輯電路設計課程 實驗涉及的相關內容,如EDA技術的基本概念、開發方法,VHDL語言的主要 語法,QuartusⅡ開發工具,以提高學生實際動手能力和工程設計能力。精 心選擇了若干個基礎實驗和綜合設計性實驗,實驗具有一定的層次性、綜合 性、設計性、實用性和趣味性,能夠引起學生的學習興趣,激發他們內在的 學習動力。 《數字邏輯電路設計學習指導與實驗教程》可作為高等院校電子信息、 通信工程、計算機科學與技術、軟件工程、網絡工程、自動化等電氣信息類 專業數字邏輯電路設計課程和EDA技術課程的實驗教學用書,同時也可作為 高等院校相關專業的教學參考書。
  • **部分 學習指導
    第1章 數字繫統與編碼
    1.1 要點指導
    1.2 例題精講
    1.3 習題參考答案
    第2章 門電路
    2.1 要點指導
    2.2 例題精講
    2.3 習題參考答案
    第3章 組合邏輯的分析與設計
    3.1 要點指導
    3.2 例題精講
    3.3 習題參考答案
    第4章 觸發器
    4.1 要點指導
    4.2 例題精講
    4.3 習題參考答案
    第5章 時序邏輯的分析與設計
    5.1 要點指導
    5.2 例題精講
    5.3 習題參考答案
    第6章 集成電路的邏輯設計與可編程邏輯器件
    6.1 要點指導
    6.2 例題精講
    6.3 習題參考答案
    第二部分 實驗教程
    第7章 EDA概述
    7.1 EDA技術及其發展
    7.2 EDA技術設計流程
    7.3 EDA技術的設計方法
    7.4 常用的EDA I具
    7.5 可編程邏輯器件
    7.6 EDA技術的學習
    第8章 VHDL語言概述
    8.1 常用硬件描述語言簡介
    8.2 VHDL語言要素
    8.2.1 VHDL文字規則
    8.2.2 VHDL數據對像
    8.2.3 VHDL數據類型
    8.2.4 VHDL運算符
    8.3 VHDL程序基本結構
    8.3.1 庫
    8.3.2 程序包
    8.3.3 實體
    8.3.4 結構體
    8.3.5 配置
    8.4 VHDL的基本語句
    8.4.1 順序語句
    8.4.2 並行語句
    8.4.3 其他語句
    第9章 QuartusⅡ基本使用方法
    9.1 QuartusⅡ設計流程
    9.2 文本輸入的設計過程
    9.3 原理圖輸入的設計過程
    **0章 數宇邏輯電路設計基礎實驗
    10.1 實驗方式與總體要求
    10.1.1 實驗方式
    10.1.2 實驗總體要求
    10.1.3 實驗儀器設備
    10.2 基礎實驗
    10.2.1 驗證半加器、全加器
    10.2.2 四位全加器的設計
    10.2.3 編碼器電路的設計
    10.2.4 譯碼器電路的設計
    10.2.5 七人表決器電路的設計
    10.2.6 四人搶答器的設計
    10.2.7 BCD-七段碼顯示譯碼器的設計
    10.2.8 多路選擇器的設計
    10.2.9 寄存器的設計
    10.2.10分頻器的設計
    10.2.11 74LS160計數器的設計
    10.2.12 八位七段數碼管動態顯示電路的設計
    10.2.13 簡單狀態機的設計
    10.2.14 序列檢測器的設計
    10.2.15 簡易數字鐘的設計
    **1章 數字邏輯電路設計綜合設計性實驗
    11.1 多功能數字鐘的設計
    11.2 出租車計費器的設計
    11.3 交通燈控制器的設計
    11.4 電梯控制器的設計
    11.5 數字密碼鎖的設計
    附錄A 實驗開發繫統介紹(EDA EPlCl2)
    附錄B 繫統板上資源模塊與FPGA的管腳連接表
    附錄C 核心板上資源模塊與FPGA的管腳連接表
 
網友評論  我們期待著您對此商品發表評論
 
相關商品
在線留言 商品價格為新臺幣
關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
返回頂部