[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

ModelSim電子繫統分析及仿真(附光盤第2版)/工程設計與分析繫列
該商品所屬分類:工業技術 -> 電子通信
【市場價】
553-801
【優惠價】
346-501
【介質】 book
【ISBN】9787121219207
【折扣說明】一次購物滿999元台幣免運費+贈品
一次購物滿2000元台幣95折+免運費+贈品
一次購物滿3000元台幣92折+免運費+贈品
一次購物滿4000元台幣88折+免運費+贈品
【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
版本正版全新電子版PDF檔
您已选择: 正版全新
溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
*. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
*. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
*. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
內容介紹



  • 出版社:電子工業
  • ISBN:9787121219207
  • 作者:於斌//謝龍漢
  • 頁數:377
  • 出版日期:2014-02-01
  • 印刷日期:2014-02-01
  • 包裝:平裝
  • 開本:16開
  • 版次:1
  • 印次:1
  • 字數:610千字
  • 《ModelSim電子繫統分析及仿真(附光盤第2版)》由於斌、謝龍漢編著,本書在編寫過程中,突出了以下特點:
    1.直觀易懂性
    全書以實例圖解的形式介紹基礎知識和實例操作,所有知識點和操作流程盡可能給出配套圖片,直觀易懂,使用戶能夠在*短的時間內獲取*多的知識。
    2.可擴展性
    全書以ModelSim SE 10.1c版軟件為平臺進行講解,但是講解過程中提供了命令行操作和菜單操作兩種操作方法,命令行操作使得講解的知識*具擴展性。
    3.實用性
    全書采用了基礎知識介紹和實例操作相結合的方法,互相補充,書中的實例都是具有實際意義的設計實例,並根據介紹內容的不同進行了選取,使讀者能夠*好地理解操作的過程,使讀者在學完本書後能夠快速地將知識應用於生產實踐。
    4.結構清晰,講解詳盡
    全書采用基礎知識、綜合實例的循序漸進的講解方法,一步步地提高用戶的仿真技能,而且每個知識點和實例都做了盡可能詳細地講解,使用戶學習起來輕松自如。
  • ModelSim是優秀的HDL仿真軟件之一,它能提供 友好的仿真環境,是業界唯一單內核支持VHDL和 Verilog混合仿真的仿真器,它采用直接優化的編譯 技術、Tcl/Tk技術和單一內核仿真技術,編譯仿真速 度快,編譯的代碼與平臺無關,便於保護IP核,個性 化的圖形界面和用戶接口,為用戶加快調錯提供強有 力的手段,是FPGA/ASIC設計的首選仿真軟件。 《ModelSim電子繫統分析及仿真(附光盤第2版) 》由於斌、謝龍漢編著,以ModelSim SE 10.1c版軟 件為平臺,由淺入深、循序漸進地介紹ModelSim 10.1c軟件各部分知識,包括ModelSim 10.1c的基礎 知識、菜單命令、庫和工程的建立與管理、 Verilog/VHDL文件編譯仿真、采用多種方式分析仿真 結果,以及與多種軟件聯合仿真等知識。書中配有大 量插圖,並結合實例詳細地講解使用ModelSim進行仿 真操作的基本知識和方法技巧,配書光盤中有本書實 例操作的視頻講解,讀者能夠輕松學習。本書在第一 版的基礎上,綜合讀者建議、課題使用情況進行修訂 完善,更多典型實例。 《ModelSim電子繫統分析及仿真(附光盤第2版) 》適合具有一定HDL基礎的讀者使用,同時對相關領 域的專業技術人員也有較高的參考價值,也可作為大 中專院校電子類相關專業和培訓班的教材。
  • 第1章 概述
    1.1IC設計與ModelSim
    1.1.1IC設計基本流程
    1.1.2ModelSim概述
    1.2ModelSim應用基本流程
    1.3ModelSim基本仿真流程
    1.3.1創建一個工作庫
    1.3.2編譯設計文件
    1.3.3運行仿真
    1.3.4查看結果
    1.4ModelSim工程仿真流程
    1.4.1創建工程及工程庫
    1.4.2創建新文件
    1.4.3加載設計文件
    1.4.4編譯源文件
    1.4.5運行仿真和查看結果
    1.4.6工程調試
    第2章 操作界面
    2.1整體界面
    2.2菜單欄
    2.2.1File菜單
    2.2.2Edit菜單
    2.2.3View菜單
    2.2.4Compile菜單
    2.2.5Simulate菜單
    2.2.6Add菜單
    2.2.7Tools菜單
    2.2.8Layout菜單
    2.2.9Bookmarks菜單
    2.2.10Window菜單
    2.2.11Help菜單
    2.3工具欄
    2.4標簽區
    2.5命令窗口
    2.6MDI窗口
    2.6.1源文件窗口
    2.6.2波形窗口
    2.6.3列表窗口
    2.6.4數據流窗口
    2.6.5屬性窗口
    2.6.6進程窗口
    2.6.7對像窗口
    2.6.8存儲器窗口
    2.6.9原理圖窗口
    2.6.10觀察窗口
    2.7界面的設置
    2.7.1定制用戶界面
    2.7.2設置界面參數
    第3章 工程和庫
    3.1ModelSim工程
    3.1.1刪除原有工程
    3.1.2開始一個新工程
    3.1.3工程標簽
    3.1.4工程編譯
    3.1.5仿真環境配置
    3.1.6工程文件組織
    3.1.7工程及文件屬性設置
    實例3-1工程文件管理
    3.2ModelSim庫
    3.2.1概述
    3.2.2庫的創建及管理
    3.2.3資源庫管理
    3.2.4導入FPGA的庫
    3.2.5本節實例
    第4章 ModelSim對不同語言的仿真
    4.1VHDL仿真
    4.1.1VHDL文件編譯
    4.1.2VHDL設計優化
    4.1.3VHDL設計仿真
    4.1.4還原點和仿真恢復
    4.1.5TEXTIO的使用
    實例4-1VHDL設計的仿真全過程
    4.2Verilog仿真
    4.2.1Verilog文件編譯
    4.2.2Verilog設計優化
    4.2.3Verilog設計仿真
    4.2.4還原點和仿真恢復
    4.2.5單元庫
    4.2.6繫統任務和繫統函數
    4.2.7編譯指令
    實例4-232位浮點乘法器的Verilog仿真過程
    4.3C調試
    4.3.1概述
    4.3.2C步進調試與調試設置
    4.4SystemC仿真
    4.4.1概述
    4.4.2SystemC文件的編譯和鏈接
    4.4.3設計仿真和調試
    4.4.4常見錯誤
    4.5混合語言仿真
    4.5.1編譯過程與公共設計庫
    4.5.2映射數據類型
    4.5.3VHDL調用Verilog
    4.5.4Verilog調用VHDL
    4.5.5SystemC調用Verilog
    4.5.6Verilog調用SystemC
    4.5.7SystemC調用VHDL
    4.5.8VHDL調用SystemC
    實例4-3systemC與Verilog混合仿真過程
    第5章 利用ModelSim進行仿真分析
    5.1仿真概述
    5.2WLF文件和虛擬對像
    5.2.1保存仿真狀態
    5.2.2Dataset結構
    5.2.3Dataset管理
    5.2.4虛擬對像
    5.3利用波形編輯器產生激勵
    5.3.1創建波形
    5.3.2編輯波形
    5.3.3導出激勵文件並使用
    5.4采用描述語言生成激勵
    5.5ModelSim波形分析
    5.5.1波形窗口和列表窗口
    5.5.2時間標記
    5.5.3窗口的縮放
    5.5.4在窗口中搜索
    5.5.5窗口的格式編排
    5.5.6波形和列表的保存
    5.5.7信號總線
    5.5.8光標操作
    5.5.9其他功能
    5.5.10波形比較
    5.6存儲器的查看和操作
    5.6.1存儲器的查看
    5.6.2存儲數據的導出
    5.6.3存儲器初始化
    5.6.4存儲器調試
    5.7數據流窗口的使用
    5.7.1概述
    5.7.2設計連通性分析
    5.7.3信號追蹤和查找
    5.7.4設置和保存打印
    5.7.5本節實例
    5.8原理圖窗口的使用
    5.9ModelSim的剖析工具
    5.9.1運行性能剖析和存儲器剖析
    5.9.2查看性能剖析結果
    5.9.3查看存儲器剖析報告
    5.9.4保存結果
    5.10覆蓋率檢測
    5.10.1啟用代碼覆蓋
    5.10.2覆蓋率的查看
    5.10.3覆蓋率檢測的過濾
    5.10.4覆蓋信息報告
    5.11信號探測
    5.12采用JobSpy控制批處理仿真
    5.12.1JobSpy功能與流程
    5.12.2運行JobSpy
    5.13綜合實例
    實例5-1三分頻時鐘的分析
    實例5-2同步FIFO的仿真分析
    實例5-3基2的SRT除法器的仿真分析
    第6章 ModelSim的協同仿真
    6.1ModelSim與Debussy的協同仿真
    6.1.1Debussy工具介紹
    6.1.2Debussy配置方法
    實例6-1與Debussy的協同仿真
    6.2ModelSim與Matlab的協同仿真
    實例6-2與Matlab的協同仿真
    實例6-3與Simulink的協同仿真
    實例6-4使用cosimWizard進行協同仿真
    第7章 ModelSim對不同公司器件的後仿真
    7.1ModelSim對Altera器件的後仿真
    7.1.1QuartusⅡ簡介
    7.1.2後仿真流程
    實例7-1直接采用QuartusⅡ調用ModelSim進行仿真
    實例7-2先用QuartusⅡ創建工程,再用ModelSim進行時序仿真
    7.2ModelSim對Xilinx器件的後仿真
    7.2.1ISE簡介
    7.2.2後仿真流程
    實例7-3用ISE對全加器進行時序仿真
    實例7-4用ISE直接調用ModelSim進行時序仿真
    7.3ModelSim對Lattice器件的後仿真
    7.3.1Diamond簡介
    7.3.2後仿真流程
    實例7-5用Diamond對全加器進行時序仿真
    實例7-6用Diamond完成布局繞線,使用ModelSim進行時序仿真
    7.4ModelSim對Actel器件的後仿真
    實例7-7用LiberoIDE調用ModelSim進行時序仿真
    第8章 ModelSim的文件和腳本
    8.1SDF文件
    8.1.1SDF文件的指定和編譯
    8.1.2VHDL的SDF
    8.1.3Verilog的SDF
    8.1.4SDF文件信息
    8.2VCD文件
    8.2.1創建一個VCD文件
    8.2.2使用VCD作為激勵
    8.2.3VCD任務
    8.2.4端口驅動數據
    8.3Tcl和DO文件
    8.3.1Tcl命令
    8.3.2Tcl語法
    8.3.3ModelSim的Tcl時序命令
    8.3.4宏命令
    8.3.5本節實例
 
網友評論  我們期待著您對此商品發表評論
 
相關商品
在線留言 商品價格為新臺幣
關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
返回頂部